#设计

2021-1-28 22:52
作为周三公布的第四季度收益的一部分,特斯拉宣布了传闻已久的Model S轿车更新。 (Model X SUV也进行了重大更新。)在外观上,Model S具有一个新的前保险杠,其进气口略有不同,调整了后扩散器,并采用了新的19英寸和21英寸轮毂设计。现在所有的外部装饰都以黑色完成,以与Model Y匹配,但是油漆的调色......
2021-1-28 21:59
自2012年推出电动轿车以来,特斯拉刚刚宣布对Model S进行首次重大重新设计。该新版本将于3月开始发售,其外观得到了更新,内部简化了,并且可以选择更强大的动力总成汽车行驶至少520英里,并在不到两秒钟的时间内从每小时0英里加速到60英里。 之所以能够达到这一速度,部分原因在于它的阻力系数非常小,可以使它达到同等......
2021-1-24 11:53
(重要提示:鉴于新的研究生课程要求,该课程将于2013年秋季开始更改,以使不擅长理论CS的CS毕业生可以更轻松地访问它。)算法的设计和分析是当今计算机科学的重要组成部分。该课程广泛而深入地介绍了过去几十年的算法进步,使学生达到了可以阅读和理解算法研究论文的水平。该课程也适用于高级本科生和非CS研究生,它们将根据不同的......
2021-1-24 5:17
最近,朱莉安娜(Juliana)进入了星露谷(Stardew Valley)。硬。由于我自己是忠实粉丝,因此我将以游戏设计视角来庆祝游戏。我将研究游戏机制,以及联锁层如何使游戏打勾。 在星露谷(Stardew Valley),您扮演的是幻想破灭的城市老手,接管他们祖父的破碎农场。您可以清理土地,以便种庄稼,例如“收......
2021-1-24 4:15
上周,Google宣布了Ruby运行时针对Cloud Functions(Google的功能即服务(FaaS)托管平台)的公开测试版。在过去的一年左右的时间里,对Ruby的支持已经落后于其他语言,但是现在我们已经赶上了,我想我会分享该产品背后的一些设计过程。 本文不是传统的设计文档。我不会逐步介绍设计本身。相反,我......
2021-1-23 16:25
我们的下一代Solo产品的Kickstarter广告活动将于1月26日启动。要在活动开始时获得提醒,可以在此处注册。 我从事Solo已有3年了。它始于我上大学时心血来潮,订购了我设计的1000个安全密钥,然后将它们全部运送到了亚马逊。 我没想到会有人出售,但事实证明他们都做到了!从那时起,我已经成长为一支团......
2021-1-23 1:10
我们的使命是使每个人都可以协作进行编程,使之变得有趣。但是,创造计算的未来是团队的共同努力。 让REPLIT_INTERESTS = [“开发工具”,“创意工具”,“编程教育”]函数(名称){//实现发送到重新划分的功能}函数({名称,投资组合,兴趣爱好}){if(portfolio&&interest .......
2021-1-22 20:38
MagSafe将提供电源和充电功能,而两个USB 4端口将在新的MacBook Air上提供数据连接。显示屏尺寸将保持在当前的13英寸对角线尺寸,但据报道,苹果将通过减少围绕屏幕边缘的斜角以及其他尺寸更改来实现更小的整体尺寸。 苹果计划在未来两年内用自己的苹果硅处理器来改造其整个Mac产品线。它于去年下半年推出了首......
2021-1-22 10:21
在这一集中,Shahriar详细介绍了Starlink卫星天线。 这道菜是肯(Ken)亲切寄来的,他在这里做了自己的初步拆解:htt ...
2021-1-22 2:11
欢迎来到少即是多的世界。 Galaxy S21是三星最新三款旗舰产品的入门级型号,它以价格提供了引人注目的功能组合。它的起价为800美元,屏幕尺寸为6.2英寸,便于操作,并且不会受到100x太空变焦的困扰。 (尽管,您仍然可以在1200美元的S21 Ultra上得到它。)当然,您将不会获得S Pen支持,但是S21仍......
2021-1-21 20:31
互联网上存在一个普遍存在的谬论,并引起了足够的混乱,因此可以澄清一下:团队决定成为“ Haskell商店”,雇用最大的俄罗斯方块功能,因此期望直接暗示并默认产生出色的软件。换个说法是为了更清楚:Haskell或与此相关的任何其他特定语言,不会自动解决与软件生产中的体系结构和宏级别决策相关的所有问题。相信否则可能会比选......
2021-1-19 23:20
高通公司宣布了一种新的手机处理器:高通公司的Snapdragon 870,它是去年的Snapdragon 865和865 Plus型号的后继产品。需要明确的是,870并不是下一代的新设计,而是Snapdragon 888,它在性能和新功能方面进行了更大的改进。 另一方面,870实际上与865和865 Plus相同,......
2021-1-19 17:39
这个简短的故事不是真正关于htop的,也不是我将用来举例说明的功能要求,而是关于驱动一点点软件开发的核心原理,直到每个细节。我所说的“核心原则”是真的。 开发软件时,我们必须做出一百万个决定。我们经常受到一些潜规则的驱使,从我们在外部视觉上的个人美学,到我们对产品行为的良好用户体验的理解,到工程学中的“膨胀在哪里”......
2021-1-19 11:23
这是我希望在开始设计CNC路由器时可以阅读的百科全书 在设计自己的CNC铣刨机时,我将介绍所有组件以及您需要牢记的一些设计注意事项。 CNC铣刨机的基础是某种刚性框架。在框架的顶部,您可以安装线性导向器,以使轴移动。您可以用皮带或螺丝驱动该运动,皮带或螺丝的旋转由电动机驱动。这些电动机由连接到gcode解释......
2021-1-19 10:50
亚马逊网络服务公司(Amazon Web Services)发布了AWS UI,云服务业务将该AWS UI描述为“#42;这是创建新的开源设计系统的更大过程的第一步。” 其上下文是.NET Porting Assistant的用户界面代码的开放源代码,该工具可扫描仅Windows的.NET Framework应用......
2021-1-19 10:49
skeuomorph是一个衍生对象,它从原始结构固有的结构中保留装饰性设计线索(属性)。 人们喜欢熟悉的事物。通常,随着新样式和技术的兴起,人们渴望增加设计的熟悉度,以使新事物感觉不太合适。随着计算机和数字事物的兴起已变得非常流行,成为将设计思想应用于任何视觉或空间问题的最主要方法之一。 对于很多问题,这非常有用......
2021-1-17 23:15
无法将http请求往返到上游:拨打tcp4 130.203.136.95:80:I / O超时
2021-1-17 2:57
1999年12月10日第1页,共4页 《半条命》取得了重大的财务成功 (赢得了50项年度最佳游戏奖,并且销量超过一百万 在世界范围内复制),很少有人意识到它并不是赢家 -实际上,Valve在游戏中的首次尝试必须取消。它 充其量是平庸的,并且饱受困扰的典型问题的困扰 太多的游戏。本文是关于团队合作–或" Ca......
2021-1-16 5:44
软件开发人员邀请设计师加入敏捷。现在是时候让我们将软件开发人员带入设计流程了。 产品特性和功能适用于下一个十年团队中的不同角色。告别设计筒仓的传奇痛苦。 Penpot文件不像其他原型工具那样使用SVG,因此与大多数矢量工具具有兼容性,技术友好并且在网络中使用非常容易。 为社区建设并由社区授权。极强的适应性......
2021-1-16 3:32
下次我评论时,请在此浏览器中保存我的姓名,电子邮件和网站。 我接受“隐私政策*单击以选择您同意之前的持续时间”。 ©2021 PINE64 |版权所有 版权所有。 | 隐私政策| 设计:zverkova.design | 代号:gamiee
2021-1-15 21:37
这个故事是CES的一部分,我们的编辑将为您带来全虚拟CES 2021的最新消息和最热门的产品。而三星的新Galaxy Buds Pro降噪耳塞的大部分细节在最近几周内泄漏了,它们现已正式发布,可以与三星的新Galaxy S21智能手机一起以200美元的价格购买。尽管从技术上讲它们不是CES 2021的一部分,但随着展......
2021-1-15 20:57
奇怪的是,您可能从未喜欢过克莱斯勒PT Cruiser,这是一款复古风格的五门掀背车,从2001年到2010年销售。实际上,您甚至可能会讨厌它。大多数人都这样做。只需问一下克莱斯勒公司前设计副总裁汤姆·盖尔(Tom Gale)。 " PT巡洋舰被很多人重击,”盖尔说。 “但这确实达到了目的。您知道,我们卖出......
2021-1-15 20:37
三星宣布了Galaxy Book Flex2 5G 2合1 Windows笔记本电脑的最终发布细节。它具有Intel Evo认证,这意味着它与第11代Intel Core处理器一起,具有Iris XE集成显卡,即时唤醒功能和更高的电池效率。您可能已经看到过与之类似的笔记本电脑,这是因为三星先前曾以Galaxy Boo......
2021-1-15 20:32
可靠的苹果分析师郭明池(Ming-Chi Kuo)今天发布了有关即将推出的MacBook Pro的一些有趣的细节。 Kuo将其描述为五年来笔记本电脑的首次重大重新设计,并且即使在最新的2019年16英寸MacBook Pro上也将进行重大升级。新的MacBook Pro将具有14英寸和16英寸的尺寸,并具有ARM A......
2021-1-15 20:5
注意:所有GPIO均可配置为不同的功能,包括但不限于SDIO,音频,SPI,I2C,UART和PWM
2021-1-11 11:26
RISC-V处理器设计项目提供了动手入门,介绍了在iCE40 Ultra Plus FPGA上修改和改进32位嵌入式处理器内核(RV32I)的设计。 参与者每三人一组,研究RV32I内核的设计改进,并评估这些改进对功耗和能效,时间效率和FPGA资源使用效率的影响。
Akin's Laws of Spacecraft Design(spacecraft.ssl.umd.edu)
2021-1-9 18:40
2.设计航天器的权利需要付出无数的努力。 这就是为什么将它们设计为在出现某些错误时可以运行的好主意。 3.设计是一个反复的过程。 所需的迭代次数比当前完成的次数多一。 在任何时间点都是如此。 4.您的最佳设计工作将不可避免地在最终设计中毫无用处。 学会忍受失望。 7.在开始任何设计工作时,最想成为团队负责人的人......
2021-1-8 22:5
您应该如何组织一个更大的工程组织,一个拥有数十名(或数百名)工程师的组织?有许多折衷考虑,没有一个正确的答案。但是,有些结构比其他结构更好。 这里的指导原则是,Conway的定律是不可避免的–您要运送组织结构图。并且,如果可能,您应该优化交付。 (这似乎很明显。很明显吗?)因此,您想尝试建立一个与您实际运送的产品......
System Design Interview Book Review(blog.pragmaticengineer.com)
2021-1-6 5:3
我偶然发现了《系统设计面试:不为人知的内部指南》这本书(平装书和在线课程-均为25美元)。在几个人问我如何才能更好地构建分布式系统或大规模学习设计系统之后,我一直在寻找好的书籍资源。尤其是当他们没有机会将其作为日常工作的一部分时。 这个话题有点像鸡和蛋。在您设计一个大型系统之后,您将知道如何设计大型系统。但是,如果......
2021-1-5 14:58
假设您决定以某种出于某种原因并没有真正执行线程的语言来编写服务。也许没有线程。也许它有一个解释器,一次只能执行一项操作,而不管您有多少个线程。也许您已经创建了一种情况,即使该语言支持线程,也可能出于其他一些原因而无法使用线程。 假设您仍然希望服务器主机一次可以处理多个请求,那么最终可能会通过并行运行这些服务器进程来......