FPGA开发人员教程

2021-02-25 14:31:43

浏览我们的免费且全面的教程,其中涵盖了用于FPGA设计和验证的三种主要硬件描述语言(HDL)。 约翰是fpgatutorial.com的创始人和主要作者。 他从事FPGA的设计已有10多年,曾在英国和德国的大型科技公司和研究机构工作。 John在此站点上教您FPGA设计中最常用的语言的基础知识-VHDL,Verilog和System Verilog(即将推出)。 您还可以在他的博客中阅读有关各种FPGA相关主题的更高级,实用的指南。 在本文中,我们将探讨如何使用参数并生成块来编写可重用的Verilog模块。 阅读更多 在这篇文章中,我们讨论子程序以及如何使用它们编写更有效的Verilog代码。

阅读更多 在本文中,我们研究了可以在Verilog设计中使用的不同类型的循环。 阅读更多 在本文中,我们讨论了Verilog中两个最常用的顺序语句-if语句和case语句 阅读更多 在本系列的第一篇文章中,我们讨论如何构造SystemVerilog设计以及它与所描述的硬件之间的关系。 阅读更多

在这篇文章中,我们讨论使用基本的测试平台测试基于Verilog的设计。 阅读更多 加入我们的邮件列表,成为第一个了解我们最新FPGA教程的人 注册我们的邮件列表 与davidmichaeldigital.com合作设计,由Kinsta托管 加入我们的邮件列表,成为第一个了解我们最新的FPGA主题文章和教程的人。